Welcome![Sign In][Sign Up]
Location:
Search - de2 nios

Search list

[VHDL-FPGA-VerilogDE2_115_NIOS_DEVICE_LED

Description: 基于NIOS开发环境下的LED灯综合程序,搭配使用QT2,开饭板为DE2-115-LED lights integrated program based on the NIOS development environment with QT1 dinner plate for the DE2-115
Platform: | Size: 5187584 | Author: 杨平平 | Hits:

[Othervlogref

Description: This book for anyone want to learning Nios II on Board De2
Platform: | Size: 1413120 | Author: Trung | Hits:

[Software Engineeringjune2010_1

Description: 一种新型SOPC自动指纹识别系统设计,:本文设计了一种基于SOPC的新型结构的自动指纹识别系统。通过对指纹处理整体流程的选择和优化,把耗时较多的指纹预处理部分整体硬件化,耗时较少的匹配部分软件化,使得系统处理速度有了显著提高,1.5s内可以完成一幅指纹图像的预处理,3s内可以完成一幅指纹图像的比对。本设计使用Quartus II软件完成了系统模块设计及仿真,使用NiosⅡ IDE软件完成了软件代码的实现,并在以Altera 公司的Cyclone II FPGA芯片为核心的DE2开发板上实现了整个系统。-The design of a new SOPC Automated Fingerprint Identification System: Design of an Automated Fingerprint Identification System Based on SOPC new structure. 1.5s within can be completed by the selection and optimization of the overall process of fingerprint processing and more time-consuming fingerprint preprocessing part of the overall hardware, less time-consuming matching of some of the software, making the system processing speed has improved significantly pieces of fingerprint image preprocessing, 3s can complete a fingerprint image. This design the system module design and simulation using Quartus II software, Nios Ⅱ IDE software to complete the implementation of the software code, and the DE2 development board with Altera Corporation' s Cyclone II FPGA chip as the core of the whole system.
Platform: | Size: 610304 | Author: kudding | Hits:

[VHDL-FPGA-VerilogDE2_NIOS_HOST_MOUSE_VGA

Description: 该设计使用了Nios II系统来演示如何在DE2开发板上的USB主机端口连接到一个USB设备进行通信。本设计实现了一个单色显示屏,预加载的图像,用户可以利用它与鼠标。应连接到VGA端口,一个USB鼠标连接到USB主机端口和一个CRT/ LCD显示器。-This designs uses a Nios II system to demonstrate how to communicate with a USB device connected to the USB HOST port on the DE2 board. This design implements a monochrome display, with a preloaded image, where the user can draw on it with a mouse. A USB mouse should be connected to the USB HOST port and a CRT/LCD monitor should be connected to the VGA port.
Platform: | Size: 6074368 | Author: 黯魂天残 | Hits:

[VHDL-FPGA-Verilognios_led

Description: 一个基础的FPGA的实验,包括sopc搭建硬件平台到用NIOS II软件编写控制程序。本实验是基于DE2开发板做的,可直接下载入片内观察到流水灯的现象。-A FPGA-based experiments, including sopc build the hardware platform to write NIOS II software control program. The experiment is based DE2 development board, observed the phenomenon of light water can be downloaded directly into the chip.
Platform: | Size: 7171072 | Author: 张莉 | Hits:

[Driver DevelopVGA_NIOS_CTRL

Description: 基于DE2平台的程序开发,完成对VGA与nios连接的初始化设置-Based on DE2 platform application development, complete the VGA connects to nios initialization Settings
Platform: | Size: 1024 | Author: 安昭旭 | Hits:

[Other Embeded programfile_system_test

Description: 完成了fat在DE2板子NIOS II上的移植-finish the transplanting of FAT on NIOS II
Platform: | Size: 17020928 | Author: Kavin | Hits:

[VHDL-FPGA-VerilogDE2_SD_Card_Audio

Description: DE2 SD player that uses VHDL and NIOS II to program the DE2 ALtera FPGA board
Platform: | Size: 2283520 | Author: DVZ | Hits:

[VHDL-FPGA-VerilogDE2_115_SD_CARD

Description: DE2_115开发板给出的基于NIOS的SD卡的实例-DE2-115 nios ii s vhdl
Platform: | Size: 2336768 | Author: 姚挺 | Hits:

[VHDL-FPGA-VerilogDE2_NET

Description: altera DE2开发板和网络通信的例程,使用了nios ii系统-altera DE2 development board and network communication routines, using nios ii system
Platform: | Size: 2456576 | Author: 叶志远 | Hits:

[VHDL-FPGA-VerilogDE2_115_WEB_SERVER_MII_ENET0

Description: Simple HTTP server using sockets interface of NicheStack TCP/IP and NIOS II SCPU to serve HTML, JPEG, GIF PNG, JS, CSS, SWF, content using RGMII on DE2-115 board
Platform: | Size: 6144000 | Author: KWIer | Hits:

[OtherIrDA

Description: DE2开发板所付实例,红外无线通信IP核,嵌入式IP核。-altera nios II
Platform: | Size: 450560 | Author: 廖大成 | Hits:

[VHDL-FPGA-VerilogDE2_NET

Description: Altera的DE2开发板上关于DM9000A的Demo,做好的IP核,在Nios II下运行-Altera s DE2 development board Demo about DM9000A, include IP core, and running under Nios II
Platform: | Size: 10866688 | Author: 杨佳俊 | Hits:

[Software EngineeringDE2_NET

Description: DE2开发板例程源码,FPGA:EP2C35F256C6,代码基于quartus II 9.0以上的版本(随板光盘的为7.2的版本,在9.0以上的版本上编译通不过会报错)。该代码主要功能为FPGA对以太网通信,与PC机通信-In this demonstration, we will show how to send and receive Ethernet packets using the Fast Ethernet controller on DE2 board. We use the Nios II processor to send and receive Ethernet packets using the DM9000A Ethernet PHY/MAC Controller.
Platform: | Size: 1950720 | Author: chenxin | Hits:

[VHDL-FPGA-VerilogDE2_NIOS_HOST_MOUSE_VGA

Description: 本代码为DE2开发板例程源码(EP2C35F672C6),项目基于quartus II 9.0(随板光盘为7.2版本以下,在9.0版以上编译会报错)。本项目实现一个USB画笔功能,通过FPGA控制USB口,USB口接上鼠标,通过XGA口外界显示设备,实现显示设备对鼠标移动轨迹的显示。-In this demonstration, we implement a Paintbrush application by using a USB mouse as the input device.This demonstration uses the device port of the Philips ISP1362 chip and the Nios II processor to implement a USB mouse movement detector. We also implemented a video frame buffer with a VGA controller to perform the real-time image storage and display.
Platform: | Size: 2547712 | Author: chenxin | Hits:

[VHDL-FPGA-VerilogDE2_SD_Card_Audio(quartus-9.0)

Description: 本代码为Altera DE2开发板例程源码(EP2C35F672C6),quartus II 9.0以上版本均可编译(随板光盘为quartus II 7.2版在9.0以上版本上编译会报错)。本工程实现SD的音频播放器,即通过FPGA控制SD卡,读取SD的音频文件,通过WM8731进行播放。-In this demonstration we show how to implement an SD Card Music Player on the DE2 board, in which the music files are stored in an SD card and the board can play the music files via its CD-quality audio DAC circuits. We use the Nios II processor to read the music data stored in the SD Card and use the Wolfson WM8731 audio CODEC to play the music.
Platform: | Size: 10078208 | Author: chenxin | Hits:

[VHDL-FPGA-VerilogDE2_NIOS_DEVICE_LED

Description: DE2 利用nios ii控制LED灯 demo实例 实测可用 欢迎下载-DE2 control LED lights use nios ii demo instance of actual usable welcome to download
Platform: | Size: 3600384 | Author: zhaochunyang | Hits:

[VHDL-FPGA-Verilognios_EPCS_SDRAM

Description: 基于niso ii 13.1开发的测试系统,使用QSYS设计了硬件系统,包含了全部模块,在硬件基础上开发了相应的软件,测试成功了epcs 和sdram,基于DE2开发板,可以直接使用!大家只需要开发软件即可!-DE2 FPGA NIOS 13.1
Platform: | Size: 24485888 | Author: 黄海岸 | Hits:

[VHDL-FPGA-VerilogLcd_800_480

Description: 基于DE2-70开发板的FPGA和NIOS系统设计的LCD(800-480)液晶显示控制系统的程序设计。-DE2-70 FPGA-based development board and the NIOS system design LCD (800-480) LCD control system programming.
Platform: | Size: 18913280 | Author: 张良 | Hits:

[OtherDE2_70_SD_Card_Audio_Player

Description: DE2-70 SD card player verilog and nios ii code
Platform: | Size: 4407296 | Author: andy | Hits:
« 1 2 3 4 5»

CodeBus www.codebus.net